ひさびさのR2R-DACを作るの巻き!2015.3.5

いきなりですが、完成です。

部品面です。


半田面です。

R-2R DACについては最初の検討記でとりあつかったのはもう9年前になります。
/r2r-ladder/r2r.html
それからRenewをして、つぎにシンプル版をリリースしてきましたが、細長い支持があるようです。
DACとして極めてシンプルというだけでなく、ディジタルフィルターを持っていないことから、
極めてダイレクトな出力が得られる点も、面白いところです。
 いままでのR-2Rは24bitを基本としていましたが、抵抗値の精度を考えると、どう考えても
24Bitは必要か?という議論になります。抵抗自体は手ごろな価格帯では精度0.1%程度が限界ですが、
それでも、1/1000です。16Bitとなると1/65000ですからまだまだたりません。ただし、0.1%の精度
ということは、実力的にはそのひと桁下でしょうから1/10000は期待できます。それでも16Bitがほぼ
限界です。ということで、今回のR-2RDACは16Bitに割りきってつくりました。ただ、16Bitにした分、
スペース効率がよくなるのでステレオ分あるいは差動出力が可能な分を搭載しています。
ちょうど、最初にリリースしたR-2Rの16Bit版みたいなものです。

特徴は
 1.使用抵抗
  用いる抵抗はリードタイプおよびチップ抵抗の両方が可能。チップ抵抗の場合は同一抵抗値で
  済ませられるようにR-2Rの抵抗のRについては2つの抵抗を並列で使用します。

 2.出力設定
  2チャンネルある出力は、それぞれLRの選択、位相の正負の選択ができます。これによりステレオ
  でもちたり、あるいはモノラルで差動増幅への対応も考えています。

 3.フォーマット設定
  右詰フォーマットのみの対応になりますが、それでも右詰16Bitあるいは右詰24Bitに対応しています。
 とくに右詰16BitはUSB用のDACなどと接続するのに適しているかもしれません。

 4.MSB調整用の可変抵抗器も実装可能
  0.1%級の抵抗器を使用すれば通常は不要ですが、1%級の金属皮膜をつかう場合は抵抗値の誤差による
  MSBのずれの調整が必要になります。これができるように、パターン的には考慮しています。

まあ、こんなところでしょう。

パターンはこんな感じ。


ネーミングはES9018K2Mを真似てSimple R-2R DAC MK2としています。

波形をみてみましょう。


まずは簡単に接続して動作をチェックです。




0dB出力はきれいな正弦波です。

-40dB出力でもゼロクロス位置のずれもほとんどありません。
さすがに精度0.1%の抵抗の効果です。1%の抵抗だとセレクトしてもこうはなりません。


-40dBの波形

(つづくかな?